datasheetbank_Logo
búsqueda de Hoja de datos y gratuito Fichas de descarga
HOME  >>>  Integrated Device Technology  >>> IDT74FCT163T PDF

IDT74FCT163T(2000) Hoja de datos - Integrated Device Technology

IDT54FCT163AT image

Número de pieza
IDT74FCT163T

Other PDF
  lastest PDF  

PDF
DOWNLOAD     

page
7 Pages

File Size
113.6 kB

Fabricante
IDT
Integrated Device Technology IDT

DESCRIPTION:
The FCT163T is a high-speed synchronous modulo-16 binary counter built using an advanced dual metal CMOS technology. They are synchronously presettable for application in programmable dividers and have two types of count enable inputs plus a terminal count output for versatility in forming synchronous multi-stage counters. The FCT163T has Synchronous Reset inputs that override counting and parallel loading and allow the outputs to be simultaneously reset on the rising edge of the clock.


FEATURES:
− Std., A and C speed grades
− Low input and output ≤1µ A (max.)
− CMOS power levels
− True TTL input and output compatibility
    • – VOH = 3.3V (typ.)
    • – VOL = 0.3V (typ.)
− High drive outputs (-15mA IOH, 48mA IOL)
− Meets or exceeds JEDEC standard 18 specifications
− Military product compliant to MIL-STD-883, Class B and DESC listed (dual marked)
− Power off disable outputs permit “live insertion”
− Available in the following packages:
    • Industrial: SOIC, QSOP
    • Military: CERDIP, LCC, CERPACK

Page Link's: 1  2  3  4  5  6  7 

Número de pieza
componentes Descripción
PDF
Fabricante
FAST CMOS SYNCHRONOUS PRESETTABLE BINARY COUNTER
Ver
Integrated Device Technology
FAST CMOS SYNCHRONOUS PRESETTABLE BINARY COUNTER
Ver
Integrated Device Technology
Synchronous Presettable Binary Counter ( Rev : 1999 )
Ver
Fairchild Semiconductor
Synchronous Presettable Binary Counter
Ver
Fairchild Semiconductor
Synchronous Presettable Binary Counter
Ver
ON Semiconductor
Synchronous Presettable Binary Counter
Ver
ON Semiconductor
SYNCHRONOUS PRESETTABLE BINARY COUNTER
Ver
Motorola => Freescale
Synchronous Presettable Binary Counter
Ver
Fairchild Semiconductor
Synchronous Presettable Binary Counter
Ver
Motorola => Freescale
Synchronous Presettable Binary Counter ( Rev : 2006 )
Ver
ON Semiconductor

Share Link: GO URL

EnglishEnglish Korean한국어 Chinese简体中文 Japanese日本語 Russianрусский

All Rights Reserved© datasheetbank.com  [ Privacy Policy ] [ Request Datasheet ] [ Contact Us ]